Connect with us

Hi, what are you looking for?

PR-News

อินเทลเร่งนวัตกรรมด้านกระบวนการผลิตและบรรจุภัณฑ์

อินเทล คอร์เปอร์เรชั่น เปิดเผยแผนกลยุทธ์ด้านกระบวนการผลิตและเทคโนโลยีการบรรจุภัณฑ์โดยละเอียดเป็นครั้งแรก โดยได้นำเสนอชุดนวัตกรรมของบริษัทฯ ที่จะขับเคลื่อนผลิตภัณฑ์ใหม่ๆ จนถึงปีพ.ศ. 2568 และในปีต่อๆ ไป เริ่มต้นที่การเปิดตัว RibbonFET ซึ่งเป็นสถาปัตยกรรมทรานซิสเตอร์ตัวใหม่และตัวแรกในรอบกว่าทศวรรษ และ PowerVia ซึ่งเป็นวิธีการจ่ายพลังงานแบบ backside power delivery เป็นครั้งแรกของวงการ นอกจากนี้ บริษัทฯ ยังได้เน้นย้ำถึงแผนการที่จะนำเทคโนโลยีการพิมพ์ด้วยการฉายแสงอัลตราไวโอเลต (EUV) รุ่นใหม่มาใช้ โดยใช้ชื่อเรียกว่า High Numerical Aperture (High NA) EUV ทั้งนี้ อินเทลนับเป็นเจ้าแรกในอุตสาหกรรมที่จะได้รับเครื่องมือการผลิต High NA EUV

 Intel Accelerated Pat Gelsinger 1

Advertisement

นายแพท เกลซิงเกอร์ ประธานกรรมการบริหารของอินเทล กล่าวในเว็บคาสต์ Intel Accelerated ที่มีการถ่ายทอดไปทั่วโลกว่า “จากความเป็นผู้นำของอินเทลในด้านบรรจุภัณฑ์ เรากำลังเร่งแผนงานนวัตกรรมเพื่อให้แน่ใจว่า ภายในปีพ.ศ. 2568 เราจะยังคงเป็นผู้นำด้านประสิทธิภาพการประมวลผล นอกจากนี้ เราได้ใช้ประโยชน์จากนวัตกรรมที่ไม่มีใครเทียบได้ในการนำเสนอความก้าวหน้าทางเทคโนโลยีตั้งแต่ทรานซิสเตอร์ไปจนถึงระดับของระบบ เราจะพยายามอย่างไม่หยุดยั้งในการดำเนินงานตามหลักกฎของมัวร์ (Moore’s Law) และเดินหน้าบนเส้นทางที่จะสร้างสรรค์นวัตกรรมด้วยพลังของซิลิคอน”      

ตั้งแต่ปีพ.ศ. 2540 อุตสาหกรรมการผลิตมีการยอมรับว่า การตั้งชื่อกระบวนการผลิตชิปแบบนาโนเมตรนั้นไม่สามารถใช้กับหน่วยวัดแบบ Gate Length ได้  โดยวันนี้ อินเทลได้ประกาศถึงโครงสร้างสำหรับกระบวนการผลิตชิปรูปแบบใหม่ โดยสร้างกรอบในการทำงานที่ชัดเจนและสม่ำเสมอ เพื่อลูกค้าจะได้มีมุมมองที่ถูกต้องมากขึ้นเกี่ยวกับกระบวนการผลิตชิปที่ครอบคลุมในหลากหลายอุตสาหกรรม สิ่งนี้คือพื้นฐานสำคัญในการเปิดตัว Intel Foundry Services (IFS) นายเกลซิงเกอร์ กล่าวเสริมว่า “นวัตกรรมที่ถูกเปิดตัวในวันนี้ นอกจากจะช่วยปรับแผนกลยุทธ์ด้านผลิตภัณฑ์ของอินเทลแล้ว ยังมีความสำคัญต่อลูกค้าของเราอีกด้วย ทั้งนี้ IFS ได้รับความสนใจมากขึ้นเรื่อยๆ เราจึงรู้สึกตื่นเต้นเป็นอย่างมากที่วันนี้เราได้ประกาศเปิดตัวลูกค้ารายใหญ่สองเจ้าแรกของเรา พร้อมประกาศว่า IFS นั้นเริ่มต้นออกสู่ตลาดเป็นที่เรียบร้อยแล้ว”

Intel Accelerated Babak Sabi

ผู้เชี่ยวชาญด้านเทคโนโลยีของอินเทลได้อธิบายแผนกลยุทธ์ใหม่ดังกล่าว พร้อมทั้งชื่อโหนดใหม่ๆ และนวัตกรรมที่เปิดใช้งานสำหรับโหนดแต่ละชนิด ดังต่อไปนี้

  • Intel 7 มอบประสิทธิภาพที่เพิ่มขึ้นประมาณ 10%-15% ต่อวัตต์ เมื่อเทียบกับ Intel 10nm SuperFin อ้างอิงจากการปรับแต่งทรานซิสเตอร์ FinFET โดย Intel 7 จะถูกใช้ในผลิตภัณฑ์ต่างๆ เช่น Alder Lake สำหรับลูกค้าในปีพ.ศ. 2564 และ Sapphire Rapids สำหรับดาต้าเซ็นเตอร์ ซึ่งคาดว่าจะเริ่มดำเนินการผลิตในช่วงไตรมาสแรกของปีพ.ศ. 2565
  • Intel 4 ใช้เทคโนโลยีกระบวนการพิมพ์ด้วยการฉายแสงอัลตราไวโอเลต (Extreme Ultraviolet Lithography) ในการพิมพ์รูปแบบขนาดเล็กด้วยแสงความยาวคลื่นสั้นพิเศษ โดย Intel 4 ซึ่งมากับประสิทธิภาพที่เพิ่มขึ้นประมาณ 20% ต่อวัตต์ พร้อมด้วยการปรับปรุงภายในพื้นที่ จะพร้อมผลิตในช่วงครึ่งหลังของปีพ.ศ. 2565 เพื่อเตรียมจัดส่งในปีพ.ศ. 2566 จะถูกรวมอยู่ในผลิตภัณฑ์ต่างๆ เช่น Meteor Lake สำหรับลูกค้า และ Granite Rapids สำหรับดาต้าเซ็นเตอร์
  • Intel 3 ใช้ประโยชน์เพิ่มเติมจากการเพิ่มประสิทธิภาพ FinFET และเพิ่ม EUV ที่มีมากกว่า Intel 4 เพื่อให้มีประสิทธิภาพมากขึ้นประมาณ 18% ต่อวัตต์ พร้อมกับการปรับปรุงพื้นที่เพิ่มเติม โดย Intel 3 จะพร้อมผลิตในช่วงครึ่งหลังของปีพ.ศ. 2566
  • Intel 20A เตรียมพร้อมเข้าสู่ยุคอังสตรอม (Angstrom) ด้วยเทคโนโลยีล้ำหน้า 2 ประเภท ได้แก่ RibbonFET และ PowerVia โดย RibbonFET เป็นการนำทรานซิสเตอร์ Gate All Around ของ Intel ไปใช้ ซึ่งจะเป็นสถาปัตยกรรมทรานซิสเตอร์ใหม่ตัวแรกของอินเทล นับตั้งแต่บริษัทฯ เริ่มคิดค้น FinFET ในปีพ.ศ. 2554 ซึ่งเทคโนโลยีนี้ช่วยให้การเปลี่ยนทรานซิสเตอร์ทำได้เร็วขึ้น โดยให้กระแส drive current เท่าเดิมแต่ใช้พลังงานน้อยลง ส่วน PowerVia โดยอินเทล เป็นการจ่ายพลังงานแบบ backside power delivery รายแรกของอุตสาหกรรมที่เพิ่มประสิทธิภาพการส่งสัญญาณโดยการขจัดความจำเป็นในการกำหนดเส้นทางพลังงานที่ด้านหน้าแผ่นวงจรเวเฟอร์ โดยคาดว่า Intel 20A จะได้รับความนิยมสูงขึ้นในปี พศ. 2567 นอกจากนี้ บริษัทฯ ยังรู้สึกตื่นเต้นกับโอกาสในการเป็นพันธมิตรกับ Qualcomm ที่จะเริ่มใช้เทคโนโลยี Intel 20A ด้วยเช่นกัน
  • สำหรับปีพ.ศ. 2568 และปีต่อๆ ไป นอกเหนือจาก Intel 20A แล้ว Intel 18A ได้อยู่ในระหว่างการพัฒนาสำหรับช่วงต้นปีพ.ศ. 2568 พร้อมกับการปรับแต่ง RibbonFET ที่จะเพิ่มประสิทธิภาพของทรานซิสเตอร์ให้เพิ่มมากขึ้น โดยอินเทลกำลังเตรียมพร้อมในการกำหนด สร้าง และปรับใช้เครื่องมือ EUV รุ่นต่อไปที่เรียกว่า High Numerical Aperture EUV และคาดว่าจะได้รับเครื่องมือการผลิตเครื่องแรกในอุตสาหกรรม ทั้งนี้ อินเทลกำลังเป็นพันธมิตรอย่างใกล้ชิดกับ ASML เพื่อรับรองความสำเร็จของการพัฒนาอุตสาหกรรมดังกล่าวที่นอกเหนือไปจาก EUV รุ่นปัจจุบัน

ดร. แอน เคลเลอร์ รองประธานอาวุโสและผู้จัดการทั่วไปฝ่ายพัฒนาเทคโนโลยี กล่าวว่า “อินเทลมีประวัติอันยาวนานในด้านนวัตกรรมกระบวนการขั้นพื้นฐานต่างๆ ที่ช่วยขับเคลื่อนอุตสาหกรรมให้ก้าวไปข้างหน้าได้อย่างก้าวกระโดด ซึ่งเราได้เป็นผู้ริเริ่มการเปลี่ยนไปใช้ สเตรน ซิลิกอน (strained silicon) ขนาด 90 นาโนเมตร พร้อมด้วยเทคโนโลยี high-k metal gates ขนาด 45 นาโนเมตร และ FinFET ขนาด 22 นาโนเมตร นี่ถือเป็นอีกช่วงเวลาสำคัญด้านเทคโนโลยีการประมวลผลจาก Intel 20A ที่มาพร้อมกับ 2 นวัตกรรมสุดล้ำอย่าง RibbonFET และ PowerVia”

Intel Accelerated Sanjay Natarajan
การบรรจุภัณฑ์เป็นสิ่งที่มีความสำคัญมากขึ้นในการตระหนักถึงประโยชน์ของกฎของมัวร์ (Moore’s Law) ด้วยกลยุทธ์ IDM 2.0 ใหม่ของอินเทล ทางบริษัทประกาศว่า Amazon Web Services (AWS) จะเป็นลูกค้ารายแรกที่ใช้โซลูชันบรรจุภัณฑ์ของ IFS พร้อมทั้งให้ข้อมูลเชิงลึกด้านแผนกลยุทธ์ของการบรรจุภัณฑ์ขั้นสูงและอุตสาหกรรมชั้นนำ ดังต่อไปนี้

  • EMIB ยังคงเป็นผู้นำด้านอุตสาหกรรมของโซลูชันบริดจ์ 2.5D แบบฝังตัว ด้วยการจัดส่งผลิตภัณฑ์ตั้งแต่ปี พ.ศ. 2560 โดย Sapphire Rapids จะเป็นผลิตภัณฑ์ศูนย์ข้อมูล Xeon ตัวแรกที่จะถูกจัดส่งในปริมาณมากพร้อมกับเทคโนโลยี EMIB (embedded multi-die interconnect bridge) นอกจากนี้ยังเป็นอุปกรณ์ขนาดเรติเคิลคู่ (dual-reticle-sized device) เครื่องแรกในอุตสาหกรรม ด้วยประสิทธิภาพที่ใกล้เคียงกับการออกแบบเสาหิน (monolithic design) นอกเหนือจาก Sapphire Rapids แล้ว EMIB รุ่นต่อไปจะเปลี่ยนจากระยะ bump pitch จาก 55 ไมครอน เป็น 45 ไมครอน
  • Foveros ใช้ประโยชน์จากความสามารถในการบรรจุภัณฑ์ระดับแผ่นเวเฟอร์เพื่อมอบโซลูชันการซ้อนชิป 3 ชั้น (3D stacking) ที่ไม่เคยมีมาก่อน โดย Meteor Lake จะเป็นการนำ Foveros รุ่นที่สองไปใช้งานในผลิตภัณฑ์ของลูกค้า โดยมีระยะ bump pitch ที่ 36 ไมครอน ร่วมกับแผ่นกระเบื้องที่ครอบคลุมโหนดเทคโนโลยีหลายตัว และช่วงกำลังการออกแบบระบบระบายความร้อนตั้งแต่ 5W ถึง 125W
  • Foveros Omni เปิดตัว Foveros รุ่นต่อไป โดยมอบความยืดหยุ่นที่ไร้ขอบเขตด้วยเทคโนโลยี 3D stacking ที่มีประสิทธิภาพสำหรับการเชื่อมต่อระหว่างกัน และการออกแบบโมดูลาร์แบบแผ่นต่อแผ่น (die-to-die) โดย Foveros Omni ช่วยให้สามารถแยกชิ้นส่วนแม่พิมพ์ ด้วยการผสมแผ่นแม่พิมพ์หลายแผ่นที่อยู่ด้านบนกับแผ่นฐานหลายแผ่นแบบผสม ในโหนด fab โดยคาดการณ์ว่าจะพร้อมสำหรับการผลิตในปริมาณมากภายในปีพ.ศ. 2566
  • Foveros Direct ขับเคลื่อนไปสู่การประสานระหว่างทองแดงสำหรับการเชื่อมต่อที่มีความต้านทานต่ำรวมถึงเบลอขอบเขตระหว่างตำแหน่งสิ้นสุดของแผ่นเวเฟอร์และตำแหน่งเริ่มต้นของบรรจุภัณฑ์ Foveros Direct เปิดใช้งานด้วยระยะ bump pitch ที่ต่ำกว่า 10 ไมครอน โดยให้ลำดับความสำคัญเพิ่มขึ้นด้านความหนาแน่นของการเชื่อมต่อสำหรับ 3D stacking ซึ่งเป็นการเปิดแนวคิดใหม่สำหรับการแบ่งพาร์ติชันแบบใช้งานได้ ซึ่งก่อนหน้านี้ไม่สามารถทำได้ Foveros Direct เป็นส่วนเสริมของ Foveros Omni และคาดว่าจะพร้อมผลิตในปีพ.ศ. 2566

ความก้าวหน้าที่กล่าวถึงในวันนี้ถูกพัฒนาขึ้นที่โรงงานของอินเทลในรัฐโอเรกอนและแอริโซนา โดยยึดบทบาทของบริษัทในฐานะผู้อยู่ในอุตสาหกรรมระดับแนวหน้าเพียงรายเดียวที่มีการวิจัย พัฒนา และการผลิตในสหรัฐอเมริกา นอกจากนี้ นวัตกรรมดังกล่าวยังอาศัยความร่วมมืออย่างใกล้ชิดกับอีโคซิสเต็มของพันธมิตรทั้งในสหรัฐอเมริกาและยุโรป การเป็นพันธมิตรที่ลึกซึ้งคือกุญแจสำคัญในการนำพานวัตกรรมพื้นฐานที่เกิดขึ้นภายในห้องปฏิบัติการไปสู่การผลิตในปริมาณมาก อินเทลมุ่งมั่นที่จะร่วมมือกับรัฐบาลในการเสริมสร้างความแข็งแกร่งของห่วงโซ่อุปทานและขับเคลื่อนความมั่นคงทางเศรษฐกิจและของประเทศ

Intel Accelerated Ann Kelleher 1
อินเทลได้จบการถ่ายทอดเว็บคาสต์โดยยืนยันรายละเอียดเพิ่มเติมเกี่ยวกับงาน Intel InnovatiON ซึ่งจะจัดขึ้นในวันที่ 27-28 ตุลาคม พ.ศ. 2564 ณ เมืองซานฟรานซิสโก ผ่านทางระบบออนไลน์ โดยสามารถดูข้อมูลเพิ่มเติมได้ที่เว็บไซต์ Intel ON

สำหรับข้อมูลเพิ่มเติมเกี่ยวกับแผนกลยุทธ์ด้านกระบวนการและการตั้งชื่อโหนดของอินเทล โปรดศึกษาเอกสารข้อมูล หากต้องการรับชมเว็บคาสต์ของวันนี้ สามารถรับชมได้ที่ Intel Newsroom หรือ เว็บไซต์ Investor Relations ของอินเทล

Click to comment
Advertisement

บทความน่าสนใจ

เราใช้คุกกี้เพื่อพัฒนาประสิทธิภาพ และประสบการณ์ที่ดีในการใช้เว็บไซต์ของคุณ คุณสามารถศึกษารายละเอียดได้ที่ นโยบายความเป็นส่วนตัว

Privacy Preferences

คุณสามารถเลือกการตั้งค่าคุกกี้โดยเปิด/ปิด คุกกี้ในแต่ละประเภทได้ตามความต้องการ ยกเว้น คุกกี้ที่จำเป็น

ยอมรับทั้งหมด
Manage Consent Preferences
  • คุกกี้ที่จำเป็น
    Always Active

    ประเภทของคุกกี้มีความจำเป็นสำหรับการทำงานของเว็บไซต์ เพื่อให้คุณสามารถใช้ได้อย่างเป็นปกติ และเข้าชมเว็บไซต์ คุณไม่สามารถปิดการทำงานของคุกกี้นี้ในระบบเว็บไซต์ของเราได้

บันทึก